大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • VHDL.doc

    VHDL语言实例例1:设计一七段显示译码器用它来驱动七段发光管LED显示十六进制数字0到9和字母A到FLED显示数码管为共阳极LIBRARY ieee ??????????????????????????????? USE ieee.std_logic_1164.all ????ENTITY HEX2LED IS ????????????????????????????? PORT( ???

  • VHDL.ppt

    单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级VHDL设计应用实例VHDL设计应用实例1 8位加法器的设计2 8位乘法器的设计3 序列检测器的设计4 正负脉宽数控调制信号发生器的设计5 数字频率计的设计6 秒表的设计7 MCS–51单片机与FPGACPLD总线接口逻辑设计8 交通灯信号控制器的设计9 语音信箱控制系统的设计10 PID控制器的设计11

  • VHDL验指导书.doc

    VHDL语言实验指导书指导老师:胡仕刚湖南科技大学信息与电气工程学院实验一 软件环境的熟悉与了解实验目的熟悉软件编程环境熟练使用max-plus2软件的各项功能在软件上调用各项已编译好的VHDL语言程序参考熟悉VHDL语言编程的格式实验内容学习实验指导书中具体步骤及过程参考完成相应内容输入一个简单的VHDL语言程序对程序进行编译调试将编译通过的文件进行仿真观察仿真结果具体步骤及过程参考以一个最简单

  • VHDL讲义第七章_VHDL设计共享.ppt

    ________________________________________________________________________________________________________________________________________________________重新回忆例6-11 一位全加器结构描述library ieeeuse _logic_entity

  • VHDL及FPGA.doc

    1与或非2触发器(RSDTJK)3编码器(2-10编码器4位二进制优先编码器)4译码器(2-4译码器3-8译码器7段数码管译码器)5半加器全加器(4位)6减法器7数据选择器(4选1)8序列检测器(检测1110010 ) 9锁存器10移位寄存器(4位)11循环计数器(5进制加法计数减法计数)自己亲手安装破解quartusII软件学会搭建FPGA开发设计环境熟悉软件环境学习FPGA设计方法:原理图方式

  • VHDL学习.doc

    数字集成电路设计结课总结 电科11级3班 :卢文东

  • VHDL学习.doc

    #

  • VHDL教程.ppt

    单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级3 VHDL语言VHDL: VHSIC Hardware Description Language.3.1 VHDL语言基础3.2 VHDL基本结构3.3 VHDL语句3.4 状态机在VHDL中的实现3.5 常用电路VHDL程序3.6 VHDL仿真3.7 VHDL综合HDL----Hardware Descrip

  • VHDL基础.ppt

    #

  • 流水灯VHDL.docx

    流水灯EDA8位流水灯设计采用状态机得方式并用手动来控制library ieeeuse ieee.std_logic_1164.alluse ieee.std_logic_unsigned.allentity led isport(clk:in std_logic rst:in std_logic stop:in std_logic kongzhi:in std_l

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部