大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • FPGA仿.pdf

    ·140·

  • 函数仿.pdf

    #

  • FPGA实现.pdf

    第11卷第3期

  • 方案(FPGA).doc

    正弦信号发生器设计方案1 引言??? 为了精确地输出正弦波调幅波调频波PSK及 HYPERLINK :.elecfanssoftIC20102010032772140 ASK等信号并依据直接数字频率合成(Direct Digital FrequencySvnthesizer简称DDFS)技术及各种调制信号相关原理设计了一种采用新型DDS器件产生正弦波信号

  • 022259_基于FPGAAD9851.doc

    基于FPGA与AD9851正弦信号发生器的设计摘要本设计由键盘输入模块LED显示模块FPGA部分数字频率合成器(专用DDS芯片)运算放大器调频调幅电路ASK电路PSK电路和电源电路组成采用了直接数字频率合成(DDS)技术FPGA芯片控制与配置技术实时波形生成输出等技术本系统频率范围宽步进符合题目要求幅度和频率精度高波形失真小操作简单易行并完成了对输出正弦波的模拟幅度调制模拟频率调制并产生二进

  • 基于FPGA.doc

    引言信号发生器是一种常用的信号源广泛应用于电子电路自动控制和科学试验等领域传统的信号发生器一般基于模拟技术但基于模拟技术的传统信号发生器能生成的信号类型比较有限一般只能生成少数的规则波形信号如果需要生成较复杂的波形信号电路的复杂度以及设计难度都将大大增加任意波形发生器就是为了解决这个问题而去研究的任意波形发生器是利用DDS(直接数字频率合成)技术通过在波形存储器内存储待输出信号的波形采样数据

  • 基于FPGA.doc

    2013年全国大学生电子设计竞赛基于FPGA的信号发生器设计题目: 信号发生器 班级: xxxxxxxxxxxx 成员: xxx 指导老师: xxx 2013年7月30日目录 TOC o 1-3 h z u HYPERLINK l _Toc362997879 一设计要求 PAGEREF _Toc

  • 弦波仿.doc

    正弦波发生器的设计与仿真1.课程设计目的通过对正弦波发生器的设计和实现掌握基本信号发生电路的工作原理及设计方法 掌握利用Mulisim10的基本操作完成对电路的仿真和波形的测试分析学会利用Protel 2004实现电路绘制以及PCB的生成以及学会设计方法和设计规则的设置从而对信号发生器有进一步的了解并能够对设计结果加以分析提高对运算放大器非线性应用的知识提高综合性实践环节中设计电路运行仿

  • 基于FPGA.doc

    目 录 (修改过)任务书………………………………………………………………………………….Ⅰ开题报告……………………………………………………………………………….Ⅱ指导教师审查意见…………………………………………………………………….Ⅲ评阅教师评语………………………………………………………………………….Ⅳ答辩会议记录 …………………………………………………………………………Ⅴ中文摘要………………

  • 基于FPGA实现.doc

    本科毕业论文(设计)题 目 基于FPGA信号发生器的设计与实现 院(系) 电子工程与电气自动化学院 专 业 电子科学与技术 学生 何厥亚 学 号 09026009 指导教师 常红霞 职称

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部