大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • 8.docx

    #

  • EDA实验报告——8.doc

    #

  • EDA实验课——8.doc

    频率计测频控制器FTCTRL的仿真波形:频率计32位计数器COUNTER32B的仿真波形:频率计32位锁存器REG32B的仿真波形:频率计顶层文件FREQTEST的仿真波形: RTL电路:实验箱中选模式5的电路结构Created with an evaluation copy of Aspose.Words. To discover the full versions of our API

  • 1高精度.doc

    南京工程学院 自动化学院 大作业(论文) 题目:高精度六位十进制频率计设计专 业: 测控技术与仪器 班 级: 学 号: 学生: 任课教师: 郭婧 成 绩: 高

  • 实验五4.doc

    实验五 4位十进制频率计设计实验目的:设计4位十进制频率计学习较复杂的数字系统设计方法 原理说明:根据频率的定义和频率测量的基本原理测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号1秒计数结束后计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号这清0个信号可以由一个测频控制信号发生器产生即图5-1中的TESTCTL它的设计要求是TESTCTL的计数使能信

  • EDA课程--八.doc

    目录一设计任务要求…………………………………………2二总体框图………………………………………………2三选择器件………………………………………………3四功能模块………………………………………………41分频部分 ……………………………………………………42计数部分 …………………………………………………53锁存部分 …………………………………………………74扫描部分 ……………………………………

  • 数字.doc

    : PAGE  :  PAGE III :       JISHOU UNIVERSITY本科生毕业设计题 目:8位十进制数字频率计的设计作 者:胡 泽学 号:2008103029所属学院:吉首大学张家界学院专业年级:电子信息科学与技术2008级指

  • 数字基于eda课程.doc

    目 录 TOC o 1-3 h z u  HYPERLINK l _Toc325705458 目 录 PAGEREF _Toc325705458 h 0 HYPERLINK l _Toc325705459 1EDA技术发展及介绍 PAGEREF _Toc325705459 h 2 HYPERLINK l _Toc325705460 1.1

  • 16数器.doc

    实验课程名称:EDA技术与应用实验项目名称16进制频率计实验实验成绩实 验 者专业班级组 别同 组 者实验日期一实验目的1.掌握计数器的基本原理进一步加深对频率计数器工作原理及电路组成的理解与掌握2.熟悉VHDL文本输入法的使用方法掌握更复杂的EDA设计技术流程和数字系统设计方法完成8位十六进制频率计的设计二实验仪器 1.计算器及操作系统 II软件实验原理 1.根据频率的定义和

  • 150MHz数字作与电路.doc

    在 HYPERLINK javascript: t _self 制作高频 HYPERLINK javascript: t _self 电路时会经常碰到频率测量的问题实际上频率计(frequency counter)是一种比较容易自制的电子设备以下将要制作的频率计其性能并不逊色于一般厂商所制造的产品电子爱好者社区a.zn akGcg????所制作的频率计电气指标如下:电

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部