大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • .doc

    实验二二进制乘法控制器实验目的掌握同步时序电路的设计方法掌握二进制乘法控制器的工作原理和工作过程基本原理二进制乘法器的工作原理二进制乘法器的算法:从右向左顺序检查乘数的二进制比特位如果是1就将被乘数加入存储结果高4位的寄存器中并将结果右移一位如果是0直接右移一位二进制乘法器的数据通路:由三个寄存器和一个二进制加法器组成如图一所示:寄存器的功能如下:A:5位移位寄存器用于保存结果的高4位和加法

  • 4位.doc

    4位二进制乘法器的设计一概述4位二进制乘法器在实际中的应用相当广泛是一些计算器的基本组成部分其原理适用于很多计算器和大型计算机它涉及到时序逻辑电路如何设计分析和工作等方面通过此电路更深刻的了解时序逻辑部件的工作原理从而掌握如何根据需要设计满足要求的各种电路图解决生活中的实际问题将所学知识应用于实践中根据任务书设计电路主要要求是:绘制出电路的原理图并且诠释每部分的功能根据设计的电路图分析所需要

  • -运算.pdf

    #

  • 、-指示灯开关.doc

    #

  • 74ls161做12计数.doc

    实验名称:用74LS161设计同步12进制计数器 学生: 班级: :指导老师: 同组人: 成绩:一实验目的及要求: 1.实验目的:(1)熟悉利用QuartusⅡ的原理图输入方法设计组合电路(2)学会对实验板上的FPGACPLD进行编程下载(3)硬件验证自己的设计项目2.实验要求:(1)要求所设计的电路有三个输入端:? en:使能端高电平有效? c

  • 四__四位全加.doc

    本科学生实验报告 学院 物理与电子信息学院 专业班级 10物理A 实验课程名称 数字电路技术试验 教师及职称 张超(讲师) 开课学期 2012 至 2013 学年

  • 三-计数设计.doc

    实验三 二进制计数器设计一实验目的 (1)熟悉VHDL时序电路的设计方法 (2)了解清零和使能的概念以及同步清零和异步清零的区别 (3)用VHDL语言设计二进制计数器并仿真下载验证其功能二实验原理 计数器是最常见的寄存器逻辑电路从微处理器的地址发生器到频率计都需要用到计数器一般计数器可以分为两类:加法计数器和减法计数器加法计数器每来一个脉冲计数值加1减法计数器每来一个脉冲计数器值减1有时将两者做在

  • TP177BS120.doc

    实验二 TP177B控制S120一需要设备11台装有XP SP2英文系统的电脑还需在电脑上安装STEP7winccflexible和technology软件(安装technology需要在英文语言环境下安装)2需要西门子TCPUS120控制系统一套具体清单如下:1 X S7-300 CPU 315T-2DP1 X电源模块 PS 307 5A1 X 接口模块IM1741 X 数字量输入输出模

  • 3.3运算.ppt

    二进制乘法运算.1定点数一位乘法1.定点原码一位乘法 假设:[X]原= X0 X1 X2… Xn [Y]原= Y0 Y1 Y2… Yn [X·Y]原= [X]原· [Y]原 =(X0⊕ Y0)(X0 X1 X2… Xn ) ·(Y0 Y1 Y2… Yn )计算机中如何实现呢先以手工计算为例例1 X= Y=计算 X · Y例:

  • 第4讲.ppt

    单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级计算机组成原理第4讲二进制乘法运算要求: 掌握原码一位乘法 理解补码一位乘法 0 移位操作 1 定点数一位乘法0 移位操作逻辑移位: 逻辑左移时高位移出低位添0逻辑右移时低位移出高位添01. 移位类型算术移位 1 0 0 0 1 1 1 1左移:0 :数码位置变化数值变

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部