大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • ).doc

    实验三:四—七译码器实验报告实验日期:学生:陆小辉(:1228402025)指导老师:黄秋萍译码器是数字系统中常用的组合逻辑电路译码器的逻辑功能是将每个输入的二进制代码译成对应的输出高低电平信号或另外一种代码译码是编码的反操作常用的译码器有二进制译码器二—十进制译码器和显示译码器本实验做的四—七译码器实际上就是一种显示译码器设计要求:设计四—七译码器完成相应的显示译码功能在输入为10

  • 哈弗曼编.doc

    #

  • 模板:二7段数显示.doc

    实验二 7段数码显示译码器【实验目的】设计七段显示译码器并在实验板上验证学习Verilog HDL文本文件进行逻辑设计输入学习设计仿真工具的使用方法【实验内容】1.实现BCD七段显示译码器的 Verilog 语言设计说明:7段显示译码器的输入为:IN0…IN3共5根 7段译码器的逻辑表同学自行设计要求实现功能为:输入 0…15 (二进制)输出 0…9…F (显示数码)输出结果应在数码管(共阴)上

  • 与编的设计与仿真.doc

    译码器与编码器的设计与仿真实验一实验内容1参照芯片74LS138的电路结构用逻辑图与VHDL语言设计3—8译码器2参照芯片74LS148的电路结构用逻辑图与VHDL语言设计8—3优先编码器3应用MaxplusII软件对译码器与编码器进行编译仿真和模拟 4能更加熟练的掌握应用MaxplusII软件从而更形象更深层次的理解译码器与编码器二实验平台及实验方法用VHDL语言编写编码器与译码器的程序运

  • 一_用段数管显示简单字符--及其使用_.doc

    用七段数码管显示简单字符——译码器及其应用实验报告专业班级:2011级计算机1班 :1137030 :赵艺湾实验地点: 理工楼901 实验时间:实验一 用七段数码管显示简单字符——译码器及其应用一实验目的 1了解显示译码器的结构和理解其工作原理2学习7段数码显示译码器设计3学习用基逻辑门3-8译码器4-1选择器控制显示器的显示二实验内容1了解逻辑门3-8译码器4-1选

  • PCM-ADPCM编.docx

    : 专业: :PCMADPCM编码实验实验目的了解语音编码的工作原理验证pcmadpcm编译码原理熟悉pcmadpcm抽样时钟编码数据和输入速出时钟之间的关系了解pcmadpcm专用大规模集成电路的工作原理和应用熟悉语音数字化技术的主要指标及测量方法实验仪器JH5001通信原理综合实验系统20M双踪示波器信号源音频信道传输损伤测试仪实验原理1PCM

  • 电路EDA二-十仿真.doc

    贵州大学电路EDA电路技术课程考核报告08级计算机系统维护专业 班级:08级计维班 : :PZ082014111 实验名称:仿真二-十译码器的结果实验目的:学会用OrCAD仿真电路用OrCAD仿真二-十进制译码器实验要求:熟悉用OrCAD仿真电路了解二-十译码器的结构和逻辑功能用OrCAD仿真二-十译码器实验原理:二-十进制译码器是由十个与非门和八

  • 和数据选择.ppt

    ??? ?? ??? ??熟悉集成译码器和数据选择器了解集成译码器和数据选择器应用74LS20× 译码器和数据选择器应用选择器数据输入端D0=0D1=CD2=CD3=1

  • 三_密勒.docx

    实验三 密勒码编译码器实验3011204173 通信二班 刘西瑶实验程序:(1)实现程序:module miller(clkxyz)input clk 时钟input x 输入信号output y 密勒码编码输出output z 密勒码解码输出reg ywire zreg xl 上一周期输入信号reg xxreg [1:0]yl 上一周期输出信号reg [1

  • 赫夫曼树编.doc

    #

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部