大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • ALU.doc

    多功能ALU的设计和实现——附VHDL源码(中)2010年01月20日 星期三 12:13请注明出处: (74181ALU芯片)library ieeeuse _logic_entity alu74181 isport(--m为控制为最低位的进位输入 : in std_logic--s0s3为控制参数a0a3b0b3为输入信号sab : in std_logic_vecto

  • 杭电验3-ALU验.doc

    #

  • 小车.doc

    #

  • 算术逻辑单元(ALU).doc

    安徽理工大学计算机组成原理课程设计说明书题目: 多功能算术逻辑单元(ALU)设计 院 系: 计算机科学与工程学院专业班级: 信息08-1班 学 号: 2008303007 学生: 指导教师: 2010年 12 月 8 日安徽理工大学课程设计(论文)任务书 计算机科学与

  • 算术运算单元ALU__几种基本.doc

    算术运算单元ALU的设计设计要求:(1)设计4位ALU可实现8种算术逻辑运算a.进行两个四位二进制数的运算b.算术运算:ABA-BA1A-1c.逻辑运算:A and BA or BA not A xor B(2)4位输入A3—A0B3---B0用开关设置输入(3)8种算术逻辑运算通过3位功能选择开关选择某一种功能运算结果用两个数码管显示和2个发光管显示(有一个显示进借位有一个显示溢出)系统框

  • 算术运算单元ALU几种基本.doc

    算术运算单元ALU的设计设计要求:(1)设计4位ALU可实现8种算术逻辑运算a.进行两个四位二进制数的运算b.算术运算:ABA-BA1A-1c.逻辑运算:A and BA or BA not A xor B(2)4位输入A3—A0B3---B0用开关设置输入(3)8种算术逻辑运算通过3位功能选择开关选择某一种功能运算结果用两个数码管显示和2个发光管显示(有一个显示进借位有一个显示溢出)系统框

  • 算术运算单元ALU--几种基本.doc

    算术运算单元ALU的设计设计要求:(1)设计4位ALU可实现8种算术逻辑运算a.进行两个四位二进制数的运算b.算术运算:ABA-BA1A-1c.逻辑运算:A and BA or BA not A xor B(2)4位输入A3—A0B3---B0用开关设置输入(3)8种算术逻辑运算通过3位功能选择开关选择某一种功能运算结果用两个数码管显示和2个发光管显示(有一个显示进借位有一个显示溢出)系统框图:设

  • U盘_二等奖_.doc

    北京化工大学萌芽杯B类作品论文北京化工大学萌芽杯B类作品论文 \* MERGEFORMAT 12 \* MERGEFORMAT 13 北京化工大学第六届“萌芽杯”参赛作品作品名称多功能U盘作 品 类 别科技发明类学 院 名 称信息学院负责人姓 名 周欢导 师 姓 名周靖林2010年6月3日多功能U盘的设计与实现周欢、楼志江、马啸、刘雨、曾永权(北京化工大学信息学

  • 电子密码锁.docx

    #

  • 基于FPGA信号源.doc

    基于FPGA的多功能信号源设计与实现Created with an evaluation copy of . To discover the full versions of our APIs please visit: :PAGE Created with an evaluation copy of . To discover the full

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部