大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • FPGA.doc

    课程设计任务书学生: 戴 聪 专业班级: 通信1003班 指导教师: 郭志强 工作单位: 信息工程学院 题目:设计一个具有系统时间设置和带闹钟功能的24小时计时器中的应用课程设计内容和要求(1)计时功能:4位LED数字时钟对当前时间的小时和分钟进行显示显示的最长时间为23小时59分(2)设置并显示新的闹钟时间:用户先按s

  • .doc

    #

  • 单片机的设计.doc

    #

  • FPGA的数字.doc

    xxxx大学电子设计自动化技术与应用设计报告设计题目: 基于FPGA的数字时钟学 院: 通 信 学 院 姓 名: 学 号: 基于单片机的数字时钟目录 TOC o 1-3 h z u  HYPERLINK l _Toc31302

  • --电子.doc

    #

  • FPGA的日历、间、铃系统.doc

    基于FPGA的日历时间闹铃系统前言:由于本人刚刚入门只用了最基本的设计思维所以可能浪费了许多芯片资源要是哪位高手能帮忙把系统优    化一下本人定然感激不尽系统功能:显示当前日期时间到点报时可通过按键来调整日期时间并且设置闹铃时间闹铃响后必须手动    关闭模块说明:系统的顶层模块如下图所示该系统由六个模块组成分别为分频模块按键模块计数模块LCD液晶    显示模块除法器模块以及铃声模块皆为

  • FPGA的数字(论文).doc

    青岛农业大学毕 业 论 文(设计) 题 目: 基于FPGA的数字时钟设计 姓 名: 赵晓杰 学 院: 机电工程学院 专 业: 农业电气化与自动化 班 级:

  • FPGA的电子设计.doc

    Civil Aviation University of China电子技术应用设计报告基于FPGA的电子时钟设计专 业: 通信工程 学 号: xxxxxxx 学生: xxx 所属学院: 电信学院 任课教师: xxx 摘要本设计采用EDA技术采用原理图和硬件描述语言VHDL混合编

  • 单片机的的设计-论文.doc

    毕业设计论文论文题目:基于单片机的定时闹钟的设计系 部 电子通信工程系 专 业 通信网络与设备 班 级 学生 学 号 指导教师 Created with an evaluation copy

  • 单片机.doc

    摘要本设计是定时闹钟的设计由单片机AT89C51芯片和LED数码管为核心辅以必要的电路构成的一个单片机电子定时闹钟电子钟设计可采用数字电路实现也可以采用单片机来完成数字电子钟是用数字集成电路构成的用数码管显示时分秒的现代计时装置若用数字电路完成所设计的电路相当复杂大概需要十几片数字集成块其功能也主要依赖于数字电路的各功能模块的组合来实现焊接的过程比较复杂成本也非常高若用单片机来设计制作完成由

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部