大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • 12-VHDL-仿.doc

    #

  • 14-VHDL-译码编码仿.doc

    #

  • BCD仿VHDL语言.doc

    #

  • 译码编码仿报告.doc

    译码器与编码器的设计与仿真实验一实验内容1参照芯片74LS138的电路结构用逻辑图与VHDL语言设计3—8译码器2参照芯片74LS148的电路结构用逻辑图与VHDL语言设计8—3优先编码器3应用MaxplusII软件对译码器与编码器进行编译仿真和模拟 4能更加熟练的掌握应用MaxplusII软件从而更形象更深层次的理解译码器与编码器二实验平台及实验方法用VHDL语言编写编码器与译码器的程序运

  • 出租车VHDL程序仿.doc

    出租车计价器VHDL程序与仿真--文件名:--功能:出租车计价器--最后修改日期:library IEEEuse _LOGIC_use _LOGIC_use _LOGIC_entity taxi isport ( clk_240 :in std_logic --频率为240Hz的时钟

  • 算机组成原理-七:乘仿.doc

    计算机组成原理实验报告实验名称实验七:乘法器的设计与仿真 实验日期2014-06-12学生班级12计算机国际班实验目的1.掌握MAXPLUSⅡ软件中的乘法器LPM_MULT的设置及使用方法2.掌握乘法运算器的设计技巧实验内容1.通过真值表设计一个两位乘法器2.运用参数化功能模块LPM_MULT设计四位乘法器实验仪器及元件4个INPUT 为A B C D6个AND2一个非门一个XOR4

  • 12章-VHDL仿(17).ppt

    第13章 VHDL仿真本章内容131 使用ModelSim进行VHDL仿真132 Textio程序包133 使用ModelSim对QuartusII设计项目进行仿真131使用ModelSim进行VHDL仿真 在QuartusII中进行的仿真事实上是在综合后的网表上进行的,也就是说,无论是进行功能仿真还是时序仿真,在QuartusII软件上仿真HDL程序必须是可以综合的。使用QuartusII软件进

  • VHDL电子琴程序仿.doc

    8.18.4程序设计与仿真电子琴VHDL程序包含有:顶层程序音阶发生器程序数控分频模块程序和自动演奏模块程序1.顶层程序与仿真(1)顶层VHDL程序--文件名:top.vhd--功能:顶层文件--最后修改日期:2004.3.20library IEEEuse IEEE.STD_LOGIC_1164.ALLuse IEEE.STD_LOGIC_ARITH.ALLuse IEEE.STD_LOG

  • 模M可逆仿.ppt

    学习目标:熟悉常用MSI集成计数器的功能和应用掌握利用集成计数器构成任意进制计数器的一般设计方法学会利用EDA软件(Proteus)对模M的可逆计数器电路进行仿真掌握可逆计数器电路的安装及调试方法(4)写出设计步骤画出最简的逻辑电路图二课题分析及设计思路 (1)手控方式模M的十进制加减可逆计数器的设计思路0预置数据端D输出端Q12四原理图绘制与电路仿真 调试过程中最好分步或分块进行 首先调试

  • 8位硬件VHDL.doc

    #

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部