大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • eda.doc

    #

  • EDA.doc

    第1次作业及上机练习题目1: 安装Maxplus工具软件练习原理图输入设计及仿真方法可对照本次课程讲述内容进行2:设计一个三输入的奇数检测电路作出其原理图并建立仿真加以验证(假设文件名为) 提示: F(abc) = Σm(1247)=a⊕b⊕c3:用四位同步计数器74161设计一个模10的计数器作出其原理图并建立仿真加以验证(假设文件名为)提示:可采用置位法和复位法两种方法第2次作业及上机练习题目

  • EDA二.doc

    EDA实验二三线—八线译码器数据选择器数据比较器二进制编码器译码器的Verilog实现实验目的:通过本次实验掌握三线—八线译码器数据选择器数据比较器二进制编码器译码器的Verilog语言输入方法进一步掌握仿真器的使用方法二实验要求:1 利用Verilog语言设计(三线—八线译码器)并仿真2 利用Verilog语言输入方法设计(四选一数据选择器)并仿真3 利用Verilog语言输入方法设计(数

  • eda--1.doc

    EDA实验报告08电信(2)班0845531211付维8‐3编码器及QuartusII的基础一实验目的1.学习组合逻辑电路学习编码器的功能与定义学习 Verilog和 VHDL 语言2.熟悉利用QuartusII 开发数字电路的基本流程和 QuartusII软件的相关操作二实验内容编写 8‐3 编码器的 Verilog 代码和 VHDL代码编译下载后通过拨动拨码开关观察 LED 灯的变化来验证 8

  • eda--3.doc

    #

  • EDA-1.doc

    物 电 学 院 数 电 实 验 报 告实验题目:可编程逻辑器件开发系统的使用 实验类别: 实验日期: 年 月 日 实验地点:和钧楼402 指导教师:李彬 : : 专业: 班级: 组别

  • EDA一.docx

    实验一实验目的熟悉QuartusⅡ软件开发环境掌握使用QuartusⅡ进行设计的基本流程熟悉QuartusⅡ编译仿真中的参数设置实验内容利用QuartusⅡ开发平台完成电路的原理图输入和编译等步骤在进行时序仿真验证本项设计的功能实验步骤1.建立工作库文件夹新建文件夹这里假设本项设计的文件夹取名为h_adder在D盘中路径为D:h_adder 注意:文件夹名字不能用中文最好也不要用数字工程文件夹不

  • EDA1.ppt

    电工电子实验中心实验九 EDA实验1:杨雪 统稿:杨雪 审稿:殷埝生电工电子实验中心实验目的1. 掌握软件MaxplusII 10的基本操作方法 2. 学会用MaxplusII 10 将74161接成十二进制计数器3. 能够用MaxplusII 10 验证实验结果电工电子实验中心MaxplusII 10简介 MaxplusII(或写成Maxp

  • EDA2.ppt

    实验二 用七段LED显示8421BCD码的VHDL设计 实验要求用VHDL设计具有清除端、使能端,计数范围为0-999的计数器设计。输出为8421BCD码;用VHDL设计七段LED译码显示电路;QuartusⅡ进行时序仿真;下载该程序验证程序是否正确;七段译码器显示原理实验箱简介实验报告要求1、写出实验源程序,画出仿真波形;2、总结实验步骤和实验结果 ;3、完成实验指导书的思考题 。

  • EDA3.ppt

    实验三扫描显示电路设计 实验要求1、了解实验系统箱中数码管显示模块的工作原理,学习使用实验箱。2、设计显示信号选择及扫描控制电路。将实验二中的计数值用数码管显示出来。 3、当计数器计数到自己的+100后,计数器停止计数。按复位键后重新计数。原理框图实验步骤1、用VHDL完成上述功能2、进行时序仿真3、打开实验箱,用导线连接相关引脚(连接时关掉电源)3、选择器件(EPF10K10LC84-4)

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部