大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • EDAA.doc

    系 班 姓 名 座 号 ……………密……………封……………线……………密……………封……………嘉应学院 电子信息工程学院 考试题样题(A卷)时间:120分钟 (2009年6月) 考试形式:闭卷题 号一二三四五总分复核人得 分评卷人一选择题(20分)下列那个流程是正确的基于EDA软件的FPGA CPLD设计流程:(B)A. 原理

  • EDAA.doc

    系 班 姓 名 座 号 ……………密……………封……………线……………密……………封……………四川工商学院 电子信息工程学院 考试题样题(A卷)时间:120分钟 (2015年6月) 考试形式:闭卷题 号一二三四五总分复核人得 分评卷人一选择题(20分)下列那个流程是正确的基于EDA软件的FPGA CPLD设计流程:(B)A.

  • EDA.doc

    EDA期末考试题一选择题:(20分)大规模可编程器件主要有FPGACPLD两类下列对CPLD结构与工作原理的描述中正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx生产的器件中XC9500系列属CPLD结构基于VHDL设计的仿真包括有①门级时序仿真②行为仿真③功能仿

  • EDA.doc

    EDA期末考试题考试课程EDA技术与VHDL考试日期2005年 月 日成 绩参考答卷课程号教师号任课教师考生(8位)年级专业一单项选择题:(20分)IP核在EDA技术和开发中具有十分重要的地位提供用VHDL等硬件描述语言描述的功能块但不涉及实现该功能块的具体电路的IP核为__________AA .软IP B.固IP C.硬IP D.都不是综合是ED

  • EDA06.doc

    考试课程EDA技术与VHDL考试日期成 绩参考答案课程号教师号任课教师考生(8位)年级专业一选择题:(20分)下列是EDA技术应用时涉及的步骤:A. 原理图HDL文本输入 B. 适配 C. 时序仿真 D. 编程下载 E. 硬件测试 F. 综合请选择合适的项构成基于EDA软件的FPGA CPLD设计流程:A → ___F___ → ___B__ → ____C___ → D → _

  • EDA05.doc

    考试课程EDA技术与VHDL考试日期年 月 日成 绩参考答卷课程号教师号任课教师考生(8位)年级专业一选择题:(20分)大规模可编程器件主要有FPGACPLD两类下列对CPLD结构与工作原理的描述中正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公

  • EDA03.doc

    考试课程EDA技术与VHDL考试日期2005年 月 日成 绩参考答卷课程号教师号任课教师考生(8位)年级专业一单项选择题:(20分)IP核在EDA技术和开发中具有十分重要的地位提供用VHDL等硬件描述语言描述的功能块但不涉及实现该功能块的具体电路的IP核为__________AA .软IP B.固IP C.硬IP D.都不是综合是EDA设计流程的关键

  • eda.ppt

    2009-2010春季学期EDA技术期末考试单项选择题(20分)EDA名词解释(10分)VHDL程序填空题(20分)VHDL程序改错题(10分)根据VHDL程序画出原理图(15分)写VHDL程序(25分)进程中的信号与变量赋值(P130)结构体的定义(P229)标识符的格式(P248)数字的表达方式(P247)常用EDA工具(P19)RS触发器BCD-7段码显示译码器(P154)4位二进制加法计数

  • 2010-A.doc

    学院 任课老师选课号/座位号………密………封………线………以………内………答………题………无………效……第 5 页 共 NUMS 5页 电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日课程成绩构成:平时20分, 期中 20 分, 实验 0分, 期末 60 分一二三四五

  • 2010A.doc

    学院 任课老师选课号/座位号………密………封………线………以………内………答………题………无………效……第 4 页 共 NUMS 4页 电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日课程成绩构成:平时20分, 期中 20 分, 实验 0分, 期末 60 分一二三四五

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部