大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • 仿仿.doc

    第三大题第二问的MATLAB的仿真结果以及仿真程序 :

  • 仿.doc

    #

  • CRC仿.doc

    CRC_5的仿真结果:输入:10000输出:10110输入:01010输出:11110输入:10100输出:01001CRC_74的仿真结果:输入:1010输出:1010001输入:0011输出:0011010输入:1110输出:1110010输入:0101输出:0101111

  • 仿分析.doc

    常规PID控制器与模糊控制器的设计及其鲁棒性对比分析本次设计中采用一个PID控制器一个模糊控制器一个模糊自适应PID控制器进而比较各自的性能三种模糊控制器的结构如下图:已知被控对象的传递函数为:试设计常规PID控制器和模糊控制器(至少用2种类型的模糊控制器)分别对被控对象进行控制通过仿真对比其控制效果并分析控制器的特点仿真结果分析:仿真图像说明:PID:常规PID控制器仿真结果Fuzzypid:模

  • codsey仿.doc

    #

  • 制导组——仿.doc

    #

  • 实验一仿.doc

    #

  • 仿待打印.docx

    :

  • MASK调制VHDL仿.doc

    MASK调制VHDL程序与仿真见随书所附光盘中文件: MASK调制VHDL程序与仿真--文件名:PL_MASK--功能:基于VHDL硬件描述语言对基带信号进行MASK调制--说明:这里MASK中的M为4--最后修改日期: ieeeuse _logic_use _logic_use _logic_entity PL_MASK isport(clk :in std_logic

  • EDA-秒表VHDL仿.docx

    EDA 实验报告学院电子工程专业班级导师实验一内容:学习QUARTUS II 软件的使用,掌握软件工程的建立、VHDL 源文件的设计和波形仿真等基本内容。设计含有异步清零和计数使能及控制加减的4 位二进制计数器。实验过程:新建vhdl文件,输入代码,编译成功后进行波形仿真。文件代码:library ieee;use _logic_;use _logic_;ENTITYt IS

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部