大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • VHDL.ppt

    单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式无忧PPT整理发布单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式基于VHDL的电子表设计汤金胜目录电子表的系统分析和设计 计时器状态机 闹钟寄存器 4123电子表顶层电路的实现 6铃声管理模块5电子表的系统分析和设计设计要求:设计一个电子表可以用于显示时间丶设定闹钟和整点报时电

  • VHDL.ppt

    单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式无忧PPT整理发布单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式基于VHDL的电子表设计邢安安目录电子表的系统分析和设计 计时器状态机 闹钟寄存器 4123电子表顶层电路的实现 6铃声管理模块5电子表的系统分析和设计设计要求:设计一个电子表可以用于显示时间丶设定闹钟和整点报时电

  • EDA-课程报告-VHDL.doc

    #

  • 9.VHDL数字硬件系统.ppt

    library ieee use _logic_entity a4 is port( input : in std_logic_vector(3 downto 0) sel : in std_logic_vector(1 downto 0) y : out std_logic)end a4architecture rtl of a4 i

  • VHDL打铃器.doc

    本科毕业设计(论文)基于VHDL的电子打铃器周亚卓燕 山 大 学2013年 6月 本科毕业设计(论文)基于VHDL的电子打铃器学 院: 里 仁 学 院 专 业:电子科学与技术 学生 : 周 亚 卓

  • VHDL程序-数字-(2).ppt

    #

  • 毕业-FPGA和VHDL点阵显示屏.doc

    点阵电子显示屏设计论文摘要本设计采用AlteraFLEX10K系列的EPF10K10LC84-4作为电子显示屏的控制核心硬件描述语言采用VHDL语言并采用模块化设计以MAXPLUS II为编程环境提高了设计效率设计制作了一个16 64点阵显示的LED电子显示屏实现了数字字母汉字的显示并且实现了实时时间显示等功能系统采用矩阵键盘作为主要的人机接口采用FPGA控制器保证了系统精度通过扩展外部

  • 开题报告-VHDL与仿真.doc

    天津天狮学院 2012 届本科生毕业设计(论文)开题报告课题题目基于VHDL的电子琴的设计与仿真学生专业班级电子信息工程题目来源□科学技术 □生产实践□社会经济 ■自拟□经典 □其它题目性质□理论研究 ■应用研究 □工程设计 □软件设计 □其他成果形式□论文 ■设计说明书 □实物 □软件 □作品同组同学一选题的依据及意义基于当前市场上的电子琴价格较昂贵很难

  • FPGA.doc

    基于FPGA的电子秒表设计摘 要简而言之电子秒表的工作原理就是不断输出连续脉冲给加法计数器而加法计数器通过译码器来显示它所记忆的脉冲周期个数电子秒表是日常生活中比较常见的电子产品本设计秒表的逻辑结构主要由74LS00 555定时器RS触发器74LS90等器件组成 使用了基本RS触发器作为电子秒表的开关基本RS触发器属低电平直接触发的触发器有直接置位复位的功能整个秒表需有一个 清零 启动信号和

  • 教材-VHDL语言智能密码锁.pdf

    #

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部