大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • EDA.doc

    JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 本科课程设计(论文) EDA技术与应用的二选一选择器

  • EDA设计.doc

    4选1数据选择器1·设计背景和设计方案1·1设计背景该设计是以数字电子技术为基础实现数据从四位数据中按照输入的信号选中一个数来实现所期望的逻辑功能1·2设计方案用拨码开关作四位数据及两位控制端的输入LED作输出通过拨码开关组成控制输入端s1和s0不同组合观察LED与数据输入端abcd的关系验证四选一数据选择器设计的正确性使用逻辑门电路与或非的组合来表达4选1数据选择器通过控制输入的信号来控制

  • EDA实验-四.ppt

    LIBRARY IEEEUSE _LOGIC_ENTITY MUX41 ISPORT(S1S0:IN STD_LOGIC ABCD:IN STD_LOGIC y: OUT STD_LOGIC)END ENTITY MUX41ARCHITECTURE ART OF MUX41 IS SIGNAL S :STD_LOG

  • EDA发展.doc

    目 录 1 引言………………………………………………………………2 对EDA的简述……………………………………………………………2 对Multisim 10软件的简述……………………………………………2 TOC o 1-

  • EDA发展.doc

    #

  • EDA2_V2.ppt

    单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级第2章 EDA工具软件的使用方法?本章概要:本章以Altera的MAXplusⅡ为主介绍EDA工具软件的使用方法读者在具有数字逻辑电路知识的基础上通过本章的学习即可初步掌握EDA软件的使用方法实现电路设计?知识要点:(1)EDA技术的原理图输入设计法(2)用原理图输入法实现多层次系统电路的设计 (3)MAXplusⅡ

  • 数据.doc

    二选一数据选择器目录 TOC o 1-3 h z u  l _Toc359346625 一:数据选择器的基本原理3 l _Toc359346626 二 电路逻辑功能 PAGEREF _Toc359346626 h 3 l _Toc359346627 电路逻辑图 PAGEREF _Toc359346627 h 3 l _Toc359346628 真值表与表达式 P

  • 多路.doc

    #

  • EDA实验___41多路设计实验.doc

    EDA实验二 4选1多路选择器设计实验实验目的 进一步熟悉QuartusII的VHDL文本设计流程组合电路的设计仿真和测试 二实验内容 实验内容一:根据以下流程利用QuartusII完成四选一多路选择器的文本编辑输入和仿真测试等步骤给出仿真波形 实验内容二:在试验系统上硬件测试验证此设计的功能对于引脚锁定以及硬件下载测试输出信号接蜂鸣器最后进行编译下载和硬件测试实验(通过选择

  • coreldrawx4第章挑工具认识.doc

    <第一章>挑选工具与选择技巧的认识与应用一我们打开CDX4看到左边区域的工具栏如图:二我们来看第一个工具挑选工具选择对象的单击对象即可选中对象上会显示8个控点表示选中拉动控点可以改变物件的大小和长扁如图:三如何多选先选中红色矩形按住键盘上的Shift键不松再点选蓝圆那么两个都被选中如图:2011-1-20 13:53 上传 HYPERLINK :.noogouforu

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部