大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • -.doc

    附录6:北京联合大学毕业设计(论文)开题报告题目: 数字时钟的设计与实现 专业: 通信工程 指导教师: 丁健 学院: 信息学院

  • EDA.doc

    EDA课程设计报告设计题目: 数字时钟 班 级 : 姓 名: 学 号: 日 期: 系统设计要求设计一个具有整点报时功能的数字时钟要求1.具有时分秒的计数显示功能24小时循环显示2

  • .doc

    绵阳职业技术信息工程系课程实训报告课程名称: 《单片机应用技术》 实训题目: 数字时钟的设计 起止时间: 2011-12-3--2011-12-17 专业班级: 智能101 小组成员: 李瑶 钱宏 赵登 张慧 学生: 钱宏 学 号:

  • -张磊-.doc

    邢台学院本科毕业论文(设计)开题报告书系(部): 物理系 专业:电子信息科学与技术 班级: 07级电信2班 学生张磊2007171208论文题目基于VHDL的数字时钟设计一论文选题的背景意义:选题的背景:VHDL的英文全名是VHSIC(Very High Speed Integrated CircuiHardware Description Language1983

  • ——电路.doc

    数字钟设计实验报告专业:工程技术系班级:电信0901班:XX:XXXXXX数字钟的设计目录一前言 ……………………………………………………………………………… 3二设计目的………………………………………………………………………… 3三设计任务 ………………………………………………………………………… 3四设计方案………………………………………………………………………… 3五数字钟电路

  • 基于89C51的电子_.doc

    安 庆 师 范 学 院毕业论文(设计)开题报告 院 系 物理与电气工程学院 专 业 应用电子 届 别 2011 学生 080408012 学生

  • Verilog.doc

    基于FPGA实现多功能数字钟——电子系071180094王丛屹摘要本文利用Verilog HDL语言自顶向下的设计方法设计多功能数字钟并通过ISE完成综合仿真此程序通过下载到FPGA 芯片后可应用于实际的数字钟显示中实现了基本的计时显示和设置调整时间闹钟设置的功能[关键词] FPGAVerilog HDL数字钟一多功能数字钟的设计设计一个多功能数字时钟具有时分秒计数显示闹钟功能能够利用按键实

  • EDA多功能.doc

    EDA(一)设计(2)之 多 功能数字钟 南京理工大学 电子科学与光电技术学院2005级 作 者: 高 策 : 0504220224 同 组: 黄文浩 : 0504220242 指导教师:蒋立平 时间:08-3-18目 录 内容摘要..........................................................................

  • 单片机.doc

    目录一实验目的…………………………………………………………2二实验要求…………………………………………………………2三整体设计方案……………………………………………………2 1总框图及流程…………………………………………………2 2主要子程序框图及说明………………

  • 基于FPGA的电子.doc

    课程设计(论文)说明书题 目:基于FPGA的数字电子时 钟设计 院 (系):信息与通信学院 专 业:微电子学 学生: 学 号:0900240115 指导教师:

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部