大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • -BUFGMUX-DCM-FPGA-.pdf

    #

  • FPGA提取.doc

    课 程 设 计 说 明 书课程名称:EDA技术课程设计题 目:基于FPGA的时钟提取电路的设计学 院:后备军官学院专 业:信息工程年 级:2010级学 生:张成良学 号:362010080609128指导教师:卿朝进完成日期:2013年7月 7日Created with an evaluation copy of . To discove

  • FPGA.doc

    Civil Aviation University of China电子技术应用设计报告基于FPGA的电子时钟设计专 业: 通信工程 学 号: xxxxxxx 学生: xxx 所属学院: 电信学院 任课教师: xxx 摘要本设计采用EDA技术采用原理图和硬件描述语言VHDL混合编

  • FPGA.doc

    基于FPGA的电子钟设计 TOC o 1-3 h z u  HYPERLINK l _Toc281391522 一需求分析 PAGEREF _Toc281391522 h 2 HYPERLINK l _Toc281391523 1.功能描述 PAGEREF _Toc281391523 h 2 HYPERLINK l _Toc281391524 2.其他要求

  • FPGA.doc

    基于FPGA的电子钟设计 摘 要VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写意思是超高速集成电路硬件描述语言对于复杂的数字系统的设计它有独特的作用它的硬件描述能力强能轻易的描述出硬件的结构和功能这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式

  • FPGA.doc

    目 录 TOC o 1-3 h z u  HYPERLINK l _Toc170046531 第一章 绪 论 PAGEREF _Toc170046531 h 1 HYPERLINK l _Toc170046532 第二章 可编程逻辑器件概述及设计方案 PAGEREF _Toc170046532 h 2 HYPERLINK l _Toc170046533

  • FPGA数字实现.doc

    课程设计(论文)说明书题 目:基于FPGA的数字电子时 钟设计 院 (系):信息与通信学院 专 业:微电子学 学生: 学 号:0900240115 指导教师:

  • FPGA.doc

    重庆邮电大学电子设计自动化技术与应用设计报告设计题目:基于FPGA语言的数字钟设计学 院: 通信学院 姓 名: 林威林 学 号: S110103006 一 需求分析本设计是基于利用FPGA设计具有时分秒显示功能的简易时钟电路数字电路的基本结构由两个60进制计数器和一个24进制计数器组

  • DVI数据恢复.pdf

    第29卷 第7期

  • FPGA数字进化实现[1].pdf

    #

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部