南 阳 理 工 学 院毕业设计(论文)任务书 机电工程 系 测控技术与仪器 专业 072911 班 学生 武煜然 29107060 指导教师 毛翠丽 职称 讲师 一毕业设计(论文)题目: 数字电子称系统的信号测量系统设计与制作 二毕业设计(论文)
#
学科分类号 0712 本科生毕业论文(设计)题目(中文): 数字电子秤 (英文):digital electronic weigher 学生: 田光耀 学 号: 0610403003 系 别: 物理与信息工程系 专 业: 电子信息科学与技术指导教师: 高吉祥 起止日期: 2010.1-2010.5 二○一○
library ieee use _logic_entity a4 is port( input : in std_logic_vector(3 downto 0) sel : in std_logic_vector(1 downto 0) y : out std_logic)end a4architecture rtl of a4 i
第八章 数字电子系统设计实践第 页第八章 数字电子系统设计实践第 页? 江苏工业学院计算机系EDA 电子教案第 页第四章 VHDL设计初步EDA第八章 数字电子系统设计实践 移位相加8位硬件乘法器电路设计 硬件乘法器的功能 --实现两个8位二进制数的乘法运算 硬件乘法器的设计思路硬件乘法器的乘法运算可以通过逐项移位相加原理来实现从被乘数的最低位开始若为1则乘数左移后与上一次的和相加
单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级数字电路与系统设计张顺兴 主编 东南大学出版社目 录第1章 数制与码制第2章 逻辑代数基础第4章 组合逻辑电路第5章 触发器第6章 时序逻辑电路第9章 半导体存储器第10章 可编程逻辑器件第11章硬件描述语言(VHDL)第12章 数字系统设计基础电子电路器件图片引脚分配演示试验 返回封面第1章
单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级单击此处编辑母版标题样式单击此处编辑母版文本样式第二级第三级第四级第五级第10章 VHDL数字电路的仿真10.1 VHDL仿真概述仿真(Simulation)也称为模拟是对所设计电
数字电子钟的设计一.绪论:数字钟以成为人们日常生活中数字电子钟一般由振荡器分频器译码器显示器等部分组成数字钟的应用非常广泛应用于人家庭以及车站码头剧场办公室等公共场所给人们的生活学习工作娱乐带来极大的方便由于数字集成电路技术的发展和采用了先进的石英技术使数字钟具有走时准确性能稳定携带方便等特点它还用于计时自动报时及自动控制等各个领域 尽管目前市场上以有现成数字钟集成电路芯片出售价格便宜这些都
摘要:数字电子钟的设计方法有多种其中利用单片机实现的电子钟具有编程灵活精确度高等特点便于电子钟功能的扩充即可用该电子钟发出各种控制信号本设计由单片机AT89S52芯片和LED数码管为核心辅以必要的电路构成了一个单片机电子时钟与传统机械表相比它具有走时精确显示直观等特点它的计时周期为24小时显满刻度为23时59分59秒另外具有校时功能等特点该电子钟可以做到的功能:上电或按键复位后能自动显示系统默认时
#
违法有害信息,请在下方选择原因提交举报