大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • EDA-8.doc

    EDA技术及应用实验报告:班级::湖南工业大学科技学院实验上机报告课程名称:EDA技术及应用专业:电气工程及其自动化成绩:指导教师: 戴圣伟:日期:2013109项目序号:实验一:时间:星期三项目名称:设计一个8位加法器组号:地点:电气楼205一实验目的 设计一个8位加法器二实验环境 Quartus II 开发系统 三实验内容利用全加器设计一个8位加法器四实验过程设计

  • 8.doc

    #

  • EDA4.doc

    实验7 4位二进制并行加法器的设计一实验目的学习加法器的设计仿真和硬件测试进一步熟悉Verilog设计技术二 实验条件1PC机一台2开发软件: QuartusII3实验设备:GW48-CK EDA实验开发系统4选用芯片:ACEX系列EP1K30TC144-3三实验原理多位加法器的构成有两种方式:并行进位和串行进位并行进位加法器设有进位产生逻辑运算速度较快串行进位方式是将全加器级联构成多位加法器

  • 二--8.doc

    #

  • 二:用.doc

    #

  • 原理图方8.doc

    实验报告一课程 EDA技术 实验名称 用原理图方法设计8位全加器 第 页专业____电子信息______ 班级___08 ______ _______ ______ 实验日期:2010 年 3 月 11 日 报告退发 (订正 重做) 一

  • 电子EDA报告(4二进制).doc

    电子设计(EDA)实验报告(4位二进制加法器)一实验名称4位二进制加法器二实验目的掌握输入编辑原理图文件的方法掌握编译原理图文件的方法掌握仿真原理图文件的方法理解Quartus 2 器件编程的方法三实验环境 计算机与Quartus 2 工具软件四实验原理图源程序 : PAGE  : PAGE 1 : entity halfadd isport(a1b1:in bit

  • 8.pdf

    #

  • EDA报告1_8.doc

    EDA技术与应用实验报告专业年级电子信息工程实验题目八位全加器设计实验目的熟悉QuartuaⅡ的文本和原理图输入方法设计简单组合电路通过8位全加器的设计掌握层次化设计的方法学会对实验板上的FPGACPLD开发系统硬件电路的编程下载及测试实验原理由文本输入利用元件例化语句或者原理图输入封装元件的方式层次化设计1位全加器用原理图输入方法由1位全加器通过低位进位输出cout与高位进位输入c

  • EDA-VHDL-六.doc

    六位加法器的设计加法器可以对两个多位二进制数进行加法运算加法器可分为4位加法器6位加法器和8位加法器等下面进行6位加法器设计 6位加法器可以对两个6位二进制数进行加法运算并且产生进位其真值表如下表所示输 入输 出A[5..0]B[5..0]S[5..0]CoutABAB进位6位加法器应具备的脚位:输入端:A[5..0]B[5..0] 输出端:SCout2VHDL源程序LIBRARY I

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部