大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • 4.doc

    实验五 4位十进制频率计设计实验目的:设计4位十进制频率计学习较复杂的数字系统设计方法 原理说明:根据频率的定义和频率测量的基本原理测定信号的频率必须有一个脉宽为1秒的对输入信号脉冲计数允许的信号1秒计数结束后计数值锁入锁存器的锁存信号和为下一测频计数周期作准备的计数器清0信号这清0个信号可以由一个测频控制信号发生器产生即图5-1中的TESTCTL它的设计要求是TESTCTL的计数使能信

  • EDA报告——8.doc

    #

  • 8.docx

    #

  • EDA课——8.doc

    频率计测频控制器FTCTRL的仿真波形:频率计32位计数器COUNTER32B的仿真波形:频率计32位锁存器REG32B的仿真波形:频率计顶层文件FREQTEST的仿真波形: RTL电路:实验箱中选模式5的电路结构Created with an evaluation copy of Aspose.Words. To discover the full versions of our API

  • EDA课程--八.doc

    目录一设计任务要求…………………………………………2二总体框图………………………………………………2三选择器件………………………………………………3四功能模块………………………………………………41分频部分 ……………………………………………………42计数部分 …………………………………………………53锁存部分 …………………………………………………74扫描部分 ……………………………………

  • 数字.doc

    : PAGE  :  PAGE III :       JISHOU UNIVERSITY本科生毕业设计题 目:8位十进制数字频率计的设计作 者:胡 泽学 号:2008103029所属学院:吉首大学张家界学院专业年级:电子信息科学与技术2008级指

  • 二--4数器的.doc

    #

  • 1高精度六.doc

    南京工程学院 自动化学院 大作业(论文) 题目:高精度六位十进制频率计设计专 业: 测控技术与仪器 班 级: 学 号: 学生: 任课教师: 郭婧 成 绩: 高

  • .doc

    频率计设计实验一:设计思路本频率计采用测频法来检测被测信号频率测频法就是在确定的闸门时间Tw内记录被测信号的变化周期数Nx则被测信号的频率为fx=NxTw为了减少运算本实验将闸门时间设置为1s那么所测频率即一秒时间内被测信号的周期数二:实验实现进行综合之后整个工程的电路模块连接图如下:工程主要包括六个模块:1DCM_66Mhz:产生66Mhz和100Mhz的时钟分别给lcd显示模块及其他模块使用2

  • EDA4-.doc

    EDA技术参考实验报告实验名称: 数字频率计的设计及实现 指导老师: 沈晓峰 2013年11月实验任务四实验题目:数字频率计的设计及实现实验要求:用VHDL完成8位数字频率计的设计 该频率计要求频率测量范围:1 Hz 20 MHz

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部