大桔灯文库logo

下载提示:1. 本站不保证资源下载的准确性、安全性和完整性,同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
2. 本文档由用户上传,版权归属用户,大桔灯负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。

相关文档

  • VHDLVerilogHDL.doc

    四位全加器的VHDLVerilogHDL实现加法器的分类(一)半加器能对两个1位二进制数进行相加而求得和及进位的逻辑电路称为半加器或:只考虑两个一位二进制数的相加而不考虑来自低位进位数的运算电路称为半加器图1为半加器的方框图图2为半加器原理图其中:AB分别为被加数与加数作为电路的输入端S为两数相加产生的本位和它和两数相加产生的向高位的进位C一起作为电路的输出根据二进制数相加的原则得到半加器的真值表

  • .doc

    实验一 一位全加器的设计实验目的 1. 熟悉ispDesignEXPERT System的原理图设计流程的全过程 2. 学习简单组合电路的设计方法输入步骤 3. 学习层次化设计步骤 4. 学习EDA设计的仿真和硬件测试电路实验原理 一位全加器可以用两个半加器及一个或门连接而成因此需要首先完成半 加器的设计设计步骤 1. 创建设计项目 建立设计目录C:luocuixian输入项目名ban

  • 4-8vhdl程序.doc

    4位并行全加器VHDL源程序:LIBRARY IEEEUSE _LOGIC_USE _LOGIC_ENTITY adder4 ISPORT (CI:IN STD_LOGICA:IN STD_LOGIC_VECTOR(3 DOWNTO 0)B:IN STD_LOGIC_VECTOR(3 DOWNTO 0)S:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)CO:OUT STD_LOG

  • 基于VHDL8除法.doc

    基于VHDL的8位除法器的实现The Design of 8 Division With VHDL摘要:介绍了利用VHDL实现八位除法采用层次化设计给出了实现除法的子模块程序使用Altera的MAXPLUSII10.2开发软件进行功能仿真并给出仿真波形关键词:二进制除法 VHDL MAXPLUS2 Abstract: The design of division(88) by

  • EDA-VHDL-六.doc

    六位加法器的设计加法器可以对两个多位二进制数进行加法运算加法器可分为4位加法器6位加法器和8位加法器等下面进行6位加法器设计 6位加法器可以对两个6位二进制数进行加法运算并且产生进位其真值表如下表所示输 入输 出A[5..0]B[5..0]S[5..0]CoutABAB进位6位加法器应具备的脚位:输入端:A[5..0]B[5..0] 输出端:SCout2VHDL源程序LIBRARY I

  • 验12-VHDL-设计仿真.doc

    #

  • .doc

    #

  • __二进制.doc

    本科学生实验报告 学院 物理与电子信息学院 专业班级 10物理A 实验课程名称 数字电路技术试验 教师及职称 张超(讲师) 开课学期 2012 至 2013 学年

  • 验二:用一设计一个.doc

    #

  • 8硬件VHDL设计.doc

    #

违规举报

违法有害信息,请在下方选择原因提交举报


客服

顶部